answersLogoWhite

0


Best Answer

On the battery. Normally marked + and - but the round tapered post that is bigger diameter is positive.

Some newer cars have a positive and negative post remote from the battery for jump starting because battery is not easily accessed.

User Avatar

Wiki User

13y ago
This answer is:
User Avatar

Add your answer:

Earn +20 pts
Q: Where is the positive and negative port under the hood?
Write your answer...
Submit
Still have questions?
magnify glass
imp
Continue Learning about Algebra

Ontario is Canada's main Pacific port true or false?

false the main port is Vancouver


What is VHDL program for full adder in structural model?

library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity fa is Port ( ain : in STD_LOGIC; bin : in STD_LOGIC; cin : in STD_LOGIC; sum : out STD_LOGIC; cout : out STD_LOGIC); end fa; architecture struct of fa is -- signal declaration signal s1,s2,s3:std_logic; --component declaration component had Port ( a : in STD_LOGIC; b : in STD_LOGIC; s : out STD_LOGIC; c : out STD_LOGIC); end component; component org Port ( x : in STD_LOGIC; y : in STD_LOGIC; z : out STD_LOGIC); end component; begin -- component instantiation or mapping u1:had port map (ain,bin,s1,s2); u2:had port map (s1,cin,sum,s3); u3:org port map (s2,s3,cout); end struct;


How does the EasyPIC v7 function?

EasyPIC v7 functions mainly as a PIC development board with an in-circuit debugger. It has four different connectors for each port, enabling connections with accessory board and sensors.


What is VHDL program for parallel adder?

library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity pa isPort ( a : in STD_LOGIC_VECTOR (3 downto 0);b : in STD_LOGIC_VECTOR (3 downto 0);ci : in STD_LOGIC;co : out STD_LOGIC;s : out STD_LOGIC_VECTOR (3 downto 0));end pa;architecture Behavioral of pa is--signal declarationsignal c:std_logic_vector(2 downto 0);--component declarationcomponent fadfPort ( ain : in STD_LOGIC;bin : in STD_LOGIC;cin : in STD_LOGIC;sum : out STD_LOGIC;cout : out STD_LOGIC);end component;beginu0:fadf port map(a(0),b(0),ci,s(0),c(0));u1:fadf port map(a(1),b(1),c(0),s(1),c(1));u2:fadf port map(a(2),b(2),c(1),s(2),c(2));u3:fadf port map(a(3),b(3),c(2),s(3),co);end Behavioral;


What is VHDL program for half adder in structural model?

library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity has isPort ( a : in STD_LOGIC;b : in STD_LOGIC;s : out STD_LOGIC;c : out STD_LOGIC);end has;architecture structural of has is-- component declarationcomponent xorgPort ( p : in STD_LOGIC;q : in STD_LOGIC;r : out STD_LOGIC);end component;component andgPort ( x : in STD_LOGIC;y : in STD_LOGIC;z : out STD_LOGIC);end component;beginu0:xorg port map (a,b,s);u1:andg port map (a,b,c);end structural;

Related questions

How many pins are in USB port?

There are four pins in a USB port. The positive, negative and Data positive, data negative.


Where is the diagnostic port on a 1992 ford bronco?

under the hood on the drivers side by the hood hinge


Where is diagnostic port on 1992 F-150?

under the hood on the drivers side by the hood hinge


On my car amplifier i have 3 ports a positive port a earth port and a remote port where do i put the negative wire?

The earth port is also the ground or -


Where is the obd 1 port on my bronco?

Under the hood or under the dash on driver's side.


Where is the obd 2 port on 2006 dodge sprinter?

It is behind the hood release under the dash.It is behind the hood release under the dash.


Where is the battery located in the Mercedes SL 500?

The battery in the SL500 is located in the trunk. There is a positive jump port under the hood and you can use any other metal under the hood as a ground. Mercedes Puts the battery in the trunk to reduce possability of an acid spill in an accident.


Where is the diagnostic port on a 1988 Cougar?

The 1988 Mercury Cougar diagnostic port can be found under the hood.


What is the diagnostics port under the hood of a 1993 Toyota Tercel for?

diagnostics ....


Where is the diagnostic port on a 93 aerostar?

Under the hood on the drivers side


Where is the diagnostic port in on a 1997 gmc jimmy?

check under the dash if not there look under the hood


Where is Diagnostic port 1998 Honda civic?

Diagnostic port is either under steering wheel or near the engine antifreeze line (under the hood).