answersLogoWhite

0

What are simulations?

Updated: 4/28/2022
User Avatar

Wiki User

13y ago

Best Answer

It is the illusion of something. Video Games, for example are simulations. War Video games are illusion of war

User Avatar

Wiki User

13y ago
This answer is:
User Avatar

Add your answer:

Earn +20 pts
Q: What are simulations?
Write your answer...
Submit
Still have questions?
magnify glass
imp
Continue Learning about Other Math

What is an example of real life exponential function?

That depends whether we're talking about a general definition of an exponential function or a technical definition (using an exponent of Euler's constant).In the former case, there are lots of examples: working out radio-active half-life or the operation of compound interest, to name a couple.In the latter case, I think it's used for theoretical simulations of mind-bending complexity, such as how a machine might rattle itself to bits... when you want to know the maximum impact of multiple worsening faults on each other (I think).Ok, I'll have a go. Construct a rather large cage; put in a male rabbit and a female rabbit. Arrange for a good supply of food and water. Count the population every month and plot it on a graph. It would really help you to understand what is going on if you removed any dead rabbits at once, but allowed for them when trying to analyse your numbers. If you are impatient, you could get the same results much faster using fruit flies, but they are oh so hard to count. -Another- Bacterial growth is a classic exponential growth Say you begin with one bacterium and it takes 24 hours to divide... At t=0 days there would be just the one bacterium. At t=1day, there would be two, which would divide and at t=2, there would be four etc. A graph of population size against time could be plotted... you would find that population size = 2^time. This is exponential.


How parallel case and full cases problems are avoided in System Verilog?

The full_case and parallel_case directives are dangerous because they tell the synthesis tool something different about the design than what is told to the simulator. To the Verilog simulator, full_case and parallel_case are buried inside of Verilog comments and are completely ignored. To the synthesis tool, full_case and parallel_case are command-directives that instruct the synthesis tools to potentially take certain actions or perform certain optimizations that are unknown to the simulator. A full case statement is a case statement in which all possible case-expression binary patterns can be matched to a case item or to a case default. e.g. Full case, sel=2'b11 will be covered by default statement. The x-assignment will also be treated as a don'tcare for synthesis, which may allow the synthesis tool to further optimize the synthesized design. It's the potentially causing a mismatch to occur between simulation and synthesis. To insure that the pre-synthesis and post-synthesis simulations match, the case default could assign the y-output to either a predetermined constant value, or to one of the other multiplexer input values module mux3c (output reg y, input [1:0] sel, input a, b, c); always @* case (sel) 2'b00: y = a; 2'b01: y = b; 2'b10: y = c; default: y = 1'bx; endcase endmodule // Use synopsys full_case statement to create the full case , but it treated differently in simulation and synthesis. module mux3b (y, a, b, c, sel); (output reg y, input [1:0] sel, input a, b, c); always @* case (sel) // synopsys full_case 2'b00: y = a; 2'b01: y = b; 2'b10: y = c; endcase endmodule SystemVerilog use priority modified case statement to solve the full case problem. The biggest difference between a full_case directive and a priority modified case statement is that the priority keyword is part of the SystemVerilog syntax that will be interpreted the same by simulators, synthesis tools and formal verification tools. In essence, the priority case statement is a "safe" full_case case statement. e.g. priority case (...) ... endcase A parallel case statement is a case statement in which it is only possible to match any case expression to one and only one case item. e.g. A parallel case statement module intctl1b (output reg int2, int1, int0, input [2:0] irq ); always @* begin {int2, int1, int0} = 3'b0; casez (irq) // synopsys parallel_case 3'b1??: int2 = 1'b1; 3'b?1?: int1 = 1'b1; 3'b??1: int0 = 1'b1; endcase end endmodule This is an example that demonstrates that adding the parallel_case directive makes the design smaller and faster, but in the process it also adversely changes the functionality of the design. SystemVerilog adds the new case statement modifier called "unique." The unique keyword shall cause the simulator to report a run-time error if a case expression is ever found to match more than one of the case items. In essence, the unique case statement is a "safe" parallel_case case statement. unique case (...) ... default: ... endcase Guideline: Code all intentional priority encoders using if-else-if statements. It is easier for the typical design engineer to recognize a priority encoder when it is coded as an if-else-if statement.


Related questions

When was Simulations Plus created?

Simulations Plus was created in 1996.


What is Simulations Plus's population?

Simulations Plus's population is 40.


When was Reality simulations created?

Reality simulations was created in 1984.


What does Capsim Business Simulations do?

Capsim Business Simulations are educational tools for those wanting to learn more about business. The simulations cover a range of topics including marketing, production, and finance.


Why is the history of simulations important?

More recent development in models and simulations commonly are built on earlier achievements


Why is history of simulations important?

More recent development in models and simulations commonly are built on earlier achievements


What are simulations in reference to computers?

adfgdhsfgd


What are simulations useful for?

la ls


What actors and actresses appeared in Simulations - 2008?

The cast of Simulations - 2008 includes: Ryan Aughtry as Andrew Shelly Skandrani as Lana


Does space camp let you into space?

no, but they do simulations


What is the use of an Ansys in the computer world?

Ansys in the computer world provides simulations early in the developmental processes. This simulations are based on customer requirements and objectives.


Where can one get neck simulations?

Neck simulations are not widely available due to the medical nature of them. However, scientists and anatomists may be able to purchase them from medical suppliers.