(g - 16)(g - 16) or (g - 16)2
Chat with our AI personalities
(g - 12)(g + 4)
It depends on what g, g1 and g2 are.
S-2
The LG G2 has a 9.5 star rating overall, where the Motorola has a 8.5. But for personal choices it depends on what the primary use will be.
Library ieee; use ieee.std_logic_1164.all; entity v3to8dec is port (g1, g2, g3: in std_logic; a : in std_logic_vector (2 downto 0); y : out std_logic_vector (0 to 7) ); end v3to8dec; architecture v3to8dec_a of v3to8dec is signal y_s: std_logic_vector (0 to 7); begin with a select y_s <= "10000000" when "000", "01000000" when "001", "00100000" when "010", "00010000" when "011", "00001000" when "100", "00000100" when "101", "00000010" when "110", "00000001" when "111", "00000000" when others; Y <= y_s when (g1 and g2 and g3) = '1' else "00000000"; end v3to8dec_a;